order_bg

oloa

10AX048H2F34E2SG 100% Fou & Uluai Own Stock Integrated Circuit High-Performance Clock Buffer Family

fa'amatalaga puupuu:

Arria 10 masini aiga e tuʻuina atu faʻatinoga sili atu nai lo le augatupulaga muamua o le vaeluagalemu ma le maualuga-maualuga FPGA ma ofoina atu le malosi e maua e ala i se seti atoatoa o tekinolosi faʻaolaina eletise.O masini Arria 10 e lelei mo le maualuga o le faʻatinoga, faʻaogaina o le eletise, faʻaoga ogatotonu i maketi eseese.


Fa'amatalaga Oloa

Faailoga o oloa

Uiga Oloa

EU RoHS Tausi
ECCN (US) 3A001.a.7.b
Tulaga Vaega Malosi
HTS 8542.39.00.01
Ta'avale No
PPAP No
Suafa Aiga Arria® 10 GX
Fa'agasologa Tekinolosi 20nm
Tagata fa'aoga I/Os 492
Numera o Resitala 727160
Galue Sapalai Galue (V) 0.9
Elemene Fa'atatau 480000
Numera o Fa'atele 2736 (18x19)
Ituaiga Manaoga Polokalama SRAM
Manatu Fa'amau (Kbit) 28760
Aofa'i Numera o poloka RAM 1438
EMAC 3
Unite Logic Device 480000
Numera masini o DLLs/PLLs 12
Alalesi Transceiver 36
Saosaoa Transceiver (Gbps) 17.4
DSP tuuto 1368
PCIe 2
Polokalama Ioe
Lagolago Fa'atonu Ioe
Puipuiga Kopi Ioe
Fa'apolokalame i totonu o le System Ioe
Vasega Saosaoa 2
Tulaga I/O Fa'ai'u Tasi LVTTL|LVCMOS
Fa'amatalaga Fa'alogo i fafo DDR3 SDRAM|DDR4|LPDDR3|RLDRAM II|RLDRAM III|QDRII+SRAM
Malosi'i Tulaga La'iti (V) 0.87
Maualuluga o le Galulue Tulaga Voltage (V) 0.93
Malolo I/O (V) 1.2|1.25|1.35|1.5|1.8|2.5|3
La'ititi ole Vevela ole Gaioiina (°C) 0
Maualuluga o le Gaioiina o le Vevela (°C) 100
Fa'atauga Temperature Grade Fa'alautele
Fefa'ataua'iga Arria
Fa'amauina Mauga i luga
Maualuga o le afifi 2.8
Aotelega afifi 35
Umi ole afifi 35
Suia PCB 1152
Igoa o le afifi masani BGA
Fa'atau Fa'atau FBGA
Faitauga Pin 1152
Fa'atusa o Ta'ita'i Polo

Folasaga o oloa

Intel Arria 10 masini faʻaogaina le 20 nm ALM e fai ma faʻavae faʻavae o le ie faʻaoga.O le fausaga a le ALM e tutusa lava ma le FPGA o augatupulaga muamua, e mafai ai ona faʻatinoina lelei galuega faʻatatau ma faigofie le liua o IP i le va o augatupulaga masini.O lo'o fa'aogaina e le ALM se laulau va'ava'ai e 8-input (LUT) fa'atasi ai ma resitara fa'apitoa e fa e fesoasoani e fa'aleleia le tapuni taimi i mamanu-maua'oa tusi resitala ma ausia ai se tulaga maualuga atu o le fa'apipi'iina o mamanu nai lo le fa'aleaganu'u e lua-resitala ile fausaga a le LUT.

Oloa Fa'ailoga

Fa'asalalau fa'asologa fa'asolosolo maualalo

• Fa'aauau galuega fa'atino

— Intel Arria 10 GX—1 Gbps i le 17.4 Gbps
— Intel Arria 10 GT—1 Gbps i le 25.8 Gbps
• Lagolago i tua:
- Intel Arria 10 GX-e oʻo atu i le 12.5
- Intel Arria 10 GT-e oʻo atu i le 12.5
• Fa'alautele le va'aiga i lalo i le 125 Mbps fa'atasi ai ma le fa'asili
• ATX fa'asalalauina PLLs fa'atasi ai ma le fa'aogaina o mea e mafai ona fa'aogaina vaega ninii
• Taui Fa'asalalau Fa'aeletonika (EDC) lagolago mo le XFP, SFP+, QSFP, ma le CFP opitika
module
• Fa'afetauiga laina laina ma fa'ai'uga tali tutusa
• Ave le fa'amamafa muamua ma le fa'amamafa
• Fa'afouina vaega toe fa'atulagaina o ala ta'itasi transceiver

  • Muamua:
  • Sosoo ai:

  • Tusi lau savali iinei ma lafo mai ia i matou