order_bg

oloa

5CEFA5F23I7N Cyclone® VE Field Polokalama Faitotoa Faitotoa (FPGA) IC 240 5001216 77000 484-BGA

fa'amatalaga puupuu:

O masini a le Cyclone® V ua mamanuina ina ia faʻafetaui i le taimi lava e tasi le faʻaitiitia o le eletise, tau, ma taimi-i-maketi manaʻomia;ma le faʻateleina o le bandwidth manaʻomia mo le maualuga-volo ma le tau-maaleale talosaga.Fa'aleleia ma feso'ota'iga transceivers ma fa'amaumau fa'amalosi, o masini a le Afa V e talafeagai mo fa'aoga i fale gaosi oloa, uaealesi ma laina uaea, militeri, ma maketi ta'avale.

Fa'amatalaga Oloa

Faailoga o oloa

Uiga Oloa

ITIGA FAATAATA
vaega Fa'atonu Fa'atonu Faitoto'a (FPGAs)
gaosimea Intel
fa'asologa Cyclone® VE
afifi fata
Tulaga o oloa Malosi
DigiKey e mafai ona fa'apolokalameina Le fa'amaonia
Numera LAB/CLB 29080
Numera o elemene/iunite 77000
Aofa'i le aofa'i o pusi RAM 5001216
I/O 數 240
Malosi - Sapalai eletise 1.07V~1.13V
Ituaiga fa'apipi'i Ituaiga pipii luga
O le vevela o galuega -40°C ~ 100°C(TJ)
afifi/Nofo 484-BGA
Fa'asoa vaega fa'atau 484-FBGA (23x23)
Numera matai oloa 5CEFA5

Folasaga o oloa

O masini a le Cyclone® V ua mamanuina ina ia faʻafetaui i le taimi lava e tasi le faʻaitiitia o le eletise, tau, ma taimi-i-maketi manaʻomia;ma le faʻateleina o le bandwidth manaʻomia mo le maualuga-volo ma le tau-maaleale talosaga.Fa'aleleia ma feso'ota'iga transceivers ma fa'amaumau fa'amalosi, o masini a le Afa V e talafeagai mo fa'aoga i fale gaosi oloa, uaealesi ma laina uaea, militeri, ma maketi ta'avale.

Oloa Fa'ailoga

Tekinolosi

  • TSMC's 28-nm maualalo-malosi (28LP) tekinolosi faagasologa
  • 1.1 V voluma autu
afifiina
  • Wirebond afifi maualalo-halogen
  • Tele le tele o masini ma tulagavae afifi talafeagai mo femalagaiga lemu i le va o masini eseese.
  • RoHS-tausi ma taʻitaʻia filifiliga
Ie FPGA maualuga
  • Fa'aleleia le 8-input ALM ma fa resitara
poloka manatua totonu
  • M10K—10-kilobits (Kb) poloka manatua fa'atasi ai ma le fa'atonuga fa'asa'o mea sese (ECC)
  • Polokalama fa'asologa o mafaufauga (MLAB)—640-bit tufa LUTRAM lea e mafai ona e fa'aogaina ai le 25% o ALM e fai ma MLAB manatua.
Fa'amauina poloka IP Malosi
 
  • Lagolago fa'ale-aganu'u e o'o atu i le tolu fa'asologa sa'o o fa'ailoga (tolu 9 x 9, lua 18 x 18, po'o le tasi le 27 x 27 fa'atele) i le poloka DSP fa'aliliu-sa'o tutusa
  • 64-bit accumulator ma cascade
  • Fa'amaufa'ailoga fa'alotoifale manatua
  • Preadder/subtractor mo le fa'aleleia atili o le lelei
  • DDR3, DDR2, ma LPDDR2 ma le 16 ma le 32 bit ECC lagolago
  • PCI Express* (PCIe*) Gen2 ma Gen1 (x1, x2, poʻo le x4) IP malo faʻatasi ma le lagolago tele, faʻaiʻuga, ma le aʻa.
Fa'atonuga
  • puipuiga o le amper—puipuiga atoatoa o le mamanu e puipuia ai au teuga tupe IP taua
  • Fa'aleleia tulaga fa'ailoga fa'ailoga (AES) fa'ailoga mo le puipuiga
  • CvP
  • Toe fa'afouina le FPGA
  • Fa'asologa fa'agaoioi (AS) x1 ma le x4, fa'asologa fa'agasolo (PS), JTAG, ma fa'asagaga fa'atasi vave (FPP) x8 ma le x16 filifiliga.
  • Fufulu totonu (2)
  • Toe fetu'una'iga vaega (3)

  • Muamua:
  • Sosoo ai:

  • Tusi lau savali iinei ma lafo mai ia i matou